Ограничение памяти

Собственно, есть Asus P3B-F. Есть ли способ заставить её увидеть 256 метров на односторонней памяти?

Аватар пользователя MmM

Нет:( ... ограничение чипсета.

Т.е. BX тупой :) . Очень жаль. А двухстороннюю увидеть должен?

Аватар пользователя ivp

Читать!

- Ситчик веселенький есть?..
- Приезжайте, обхохочетесь!..

т.е., как я понял, абсолютно ровно скольки сторонняя память. Чтоб она(мать) увидела 256 в одной планке нужно использовать ECC. shock

Аватар пользователя ivp

Вывод в корне неправильный по двум позициям:


1) Дело не в х-стороности, а в плотности памяти (перечитайте еще раз статью, поймете, что, например, планка памяти на 256МВ для ВХ не может не быть двусторонней;
2) ЕСС здесь абсолютно ни при чем - то, что Вы имели в виду, называется Registered (регистровой) памятью, а спутали Вы эти два понятия потому, что Registered исполнение является де-факто стандартом для памяти ЕСС, хотя на самом деле это два качественно разных понятия, не имеющих отношения друг к другу (сколько будет, если пять птиц посадить на пять деревьев? - будет пять птиц на пяти деревьях, но не десять птиц и деревьев...)

- Ситчик веселенький есть?..
- Приезжайте, обхохочетесь!..

Аватар пользователя rgt

В дополнение к сказанному ivp
1. Непонимание полного объема памяти связано с нехваткой адресных линий в чипсете. Из-за этого старшая(старшие) линии адреса висят в воздух и память работает на половину, четверть, восьмую часть своего реального объема.


2. ЕСС есть следствие того, что при 64 битах данных и 8 битах избыточности уже можно не только обнаруживать любую однократную ошибку, но и точно определять в каком именно разряде она имела место. А так как в разряде может быть только ноль или единица то инвертировав неправильный разряд получим правильное значение
3. Регистровая или буферизованная память. Интел не гарантирует, что при одновременной установке на BX модулей памяти во все 4 допускаемые архитектурой чипсета банка, они не начнут искажать данные друг другу. Из-за этого рекомендуется использовать дополнительные буфферные регистры с тремя состояниями, что гарантирует надежную работу памяти в максимальной четырехбанковой конфигурации.
Впрочем здесь инженеры Интела перестраховались и даже на нештатной для BX FSB 133 MHz можно добиться стабильной работы 4 модулей памяти максимально адресуемого BXом объема в 1Gb. Более того это даже не очень сложно.

За несоответствие действительности Вашим о ней представлениям администрация форума ответственности не несет.

Сразу обозначу, что статью прочёл только сейчас, а выводы были сделаны после беглого просмотра таблицы :oops:
По поводу х-сторонности. Я неясно выразил свои соображения, до создания поста у меня была мысль, что 256 метров увидится если планка будет 16*128Мбит. Как я понял по прочтении статьи так оно и есть wink

Аватар пользователя rgt

Вы почти правы, но тем не менее попадались мне модули памяти двухсторонние 16 чиповые, которые на BX определялись как 128M.
Думаю, что это была отбракока, но факт имел место

За несоответствие действительности Вашим о ней представлениям администрация форума ответственности не несет.

Аватар пользователя DiVersant

Просто двухсторонние =/= двухбанковые... Хотя двухсторонние однобанковые планки бывают достаточно редко.

Thinking... [■■■■■■■■■■■■■■■□□□□□]75% completed...

Отправить комментарий

Содержание этого поля является приватным и не предназначено к показу.
  • Разрешённые HTML-теги: <a> <em> <strong> <cite> <code> <ul> <ol> <li> <dl> <dt> <dd> <img>
  • You can use BBCode tags in the text. URLs will automatically be converted to links.

Подробнее о форматировании текста

Антибот - введите цифру.
Ленты новостей